2011年1月30日日曜日

DSD再生今後の目標

 まだ動作がおかしく再生開始しない場合や、ノイズがひどい場合があるのですが、一旦再生が始まると、安定動作しているようです。

 下図は現状の構成で、SDRAMに一旦バッファしてクロック差を吸収する方式をとっています。


DSD再生 現行構成



 今後、最終的には下図の構成を目標として考えています。
 
    DSD再生 目標構成
  1.  クロックをプレイヤーへ送り込みプレイヤーをMultiDACに同期させる。
  2.  HDMIケーブルでLVDS差動伝送
  3.  3.3V電源をプレイヤー側へ供給し、Isolatorで電源分離
  4.  5.1chマルチチャネル再生
 5.1chマルチチャネル再生を除き、HDMIコネクタや狭ピッチICが必要なので基板を作成する必要があると考えており、ちょっと時間がかかりそうです。

 5.1chマルチチャネルは、取り出しポイントをピックアップするのが、一つ目の課題。
 2つ目はサラウンド環境の構築。とりあえずDACをくみ上げれば、ありあわせでも何とかなりますが...

DSD再生とりあえずできるようになった

 DSD再生、うまくいかなかった原因は、タイミング信号位置がずれていたことなどが、原因で一応再生可能になりました。
 ES9018は、Rchで何らかの同期を監視し、データの変化点が通常位置と異なると同期外れを起こすようです。DSDとPCMを自動切換えしていますから、自動切換え機能のからみかもしれません。

 最初サーノイズが見られましたが、なくなりました。しかし、まだおかしな動きが見られるため調整が必要です。


 FPGA内をスルーするルートでは、Buffalo IIの同期はずれが起き易かったのですが、その点は改善されました。

 スルーの場合
  •  FPGA受信時DSD DCKが必要
  •  しかも受信したDCKをそのままスルーでBuffalo IIへ転送する(タイミングマージンが悪化したり、ジッタが増長される可能性が高い)
  •  DSD Phase Modulation ModeでBuffalo IIへ転送するのでBuffalo IIのタイミングマージンが厳しい
 これに対し、実装している受信回路は、この点が改善され、
  •  FPGA受信時DSD DCKが不要
  •  受信したDCKではなく、外部CXOから生成したクロックでデータをリタイミングし、そのクロックを分周してBuffalo IIへ送信している。
  •  DSD Normal Mode,でBuffalo IIへ転送するのでBuffalo IIのタイミングマージンがゆるくなる
など、同期はずれ、データ取り込みエラーになる要因が改善されているためだと推測されます。

 このほかに、DE0ボードの電源として、Fujiwaraさんの正出力定電圧電源基板(TYPE-E)で7.5Vを用意しました。(今まではUSB給電)
 デバッグのためUSBケーブルを接続することがまだ欠かせませんが、基本的にUSBケーブルをPCと接続しなくても動作可能となり、電源をPCと分離した構成にようやくしました。


正出力定電圧電源基板(TYPE-E)7.5V(DE0)-5V(BuffaloII#1)-5V(BuffaloII#2)

2011年1月28日金曜日

Netduino到着 DSD再生は難航中

 Netduinoは到着しました。




Netduino


 DSD再生のほうは、あまり進展なし。

  •  PCとUSBケーブルでつながっていると影響を受け易いとの情報でFPGAボード(DE0)のUSBケーブルを外し、ACアダプタで電源供給=>ほとんど効果なし。
  •  DE0のUSBコネクタを触ると良くなることがある。しかも微妙にビリビリする。=>FGがとられていないから?
  •  リセットボタンを押すとかなり効果がある。=>リセットによりFPGAのかなりの論理が動作停止する。FPGAのノイズの影響がかなり影響しているのか?
  • BUffaloIIの同期が取れており正常に再生できているかと思えるときでも、FPGA内部SignalTapII波形で見ると問題発生している。=>音が正しく出ているように見えても、ビットエラーが出ている場合がありえる。
 といったところです。

 capriceは、2月11日頃入荷予定とのこと。

2011年1月27日木曜日

DSD再生難航中

 DSDデータをプレイヤーから再生するための回路を調整中ですが、そもそもBuffalo IIをDSDで再生したことが無いので、とりあえずFPGA内をスルーで通す下図の青線下側のバイパスルートを設け再生してみました。



DSD再生構成(青線上側が想定ルート、青線下側はバイパスルート)

 結果はBuffalo IIの同期が外れまくりで、うまく再生してくれません。
 RchはI2S時LRCKのピンになりますが、Lchを外すと比較的まともになります。Rchを外しLchだけにすると完全に再生が止まります。どうも、Buffalo IIはRch側で同期状態を監視しているようです。

 なんだかクロストークか、ノイズが載っているのか伝送波形に問題が発生しているようです。
とりあえずプレイヤーとMultiDACの間を少し離したら、結構改善しました。しかしまだ同期は外れる場合がある状態です。

 ラインに取り外しやすいようにブレッドボード用のジャンパーを使用しており、しかも長さが足りないので2本つなげて接続しているのも影響していそうです。
 長さを詰めたり、もう少し安定するよう調整して、それから本来のルートの確認に戻ります。

2011年1月26日水曜日

Netduino 購入

 今月号(3月)のInteface 組み込みで使うVisual C#と.NET Micro Framework を見て、Netduinoに触手が... 
 ちょっとなんにでも手を出しすぎで、本当に使うのか状態ですがとりあえず、SWITCH-SCIENCEに発注してみました。
 

 Netduino



 Music Shieldあたりと組んでSDメモリプレイヤー兼コントローラーとすることを想定。

Music Shield

SDメモリプレイヤー兼コントローラーとしては、下記の3パターンで検討していきたいと思います。一応上程有力候補。
  1. Mbed+boardOrange
  2. DE0 NiosII
  3. Netduino+Music Shield(or その他のShield)
 候補から外れても、何か別の用途で、.NET Micro Frameworkのお勉強がてらにさわってみようかと...

2011年1月24日月曜日

Pure Rhythm 96MHzとりあえず取付け

 今週末は、FPGAへのDSD機能の折込をしていましたが、基本機能のコーディング、コンパイル完了し、デバッグ中。その合間に並行してBuffalo IIのCXOをPure Rhythm 96MHzに交換しました。

 といっても最終的には、Buffalo II 3枚にクロックドライバで3分岐させて供給するつもりなので当面の運用としての適用です。
  3枚目のBuffalo IIが、未使用、未組立てのままなので、これに装着し、運用中の一枚と交換するつもりです。

 Buffalo IIに元からついている水晶を外すのは、適切な工具と技量がないとちょっと難しいので、元からついているCXO(CCHD-950)のVCCラインについている、L3を撤去することでCXOの電源供給をカットし、CXOのクロックラインをR17に入る前でパターンカットすることで対処しました。

CXOからR17(未実装)間のパターンカット


裏面 CXO VCC用のL3を撤去
 Pure Rhythm 96MHzは発振しない可能性があるので、5.0Vとの中川さんのご指定ですが、3.3Vでも動作していたので、取りあえずBuffalo IIの元のCXO用の電源(3.3V)に接続しました。こちらも最終的には、クロック用専用電源とするつもりです。

 Pure Rhythm 実装

 Pure Rhythmの取り付けまでしか完了しておらず、あとの組み立てをしたら確認してみます。といってもFPGA DSD機能のデバッグが先ですが...

2011年1月22日土曜日

BeMicro SDK購入再挑戦 3

 BeMicro SDKとPrototyping Boardが届きました。今回はとてもすんなりと事が運びました。
 ボード自体は、特に高いこともないのですが、送料と輸入内国消費税と立替納税手数料というのを取られてDigi-Keyでは送料無料で買えるDE0に比べてしまうとどうしても割高感がでてしまいます。
    

    • Cyclone IV with 22K Logic Elements:  EP4CE22
    • USB Powered
    • 64 MB Mobile DDR SDRAM
    • 16 Mbits Serial Flash: Configuration + User space
    • 10/100 Ethernet
    • Micro SD card
    • Temp Sensor
    • 8 LEDs, 2 user dip switches, 1 user pushbutton, Reset pushbutton, Reconfig button
    • Edge connector (80 pins) with power, 50+ user I/O
BeMicro SDK

STM32 Prototyping Boardは、hitex USB Stick という主にARM Cortex系がラインナップされているevaluation kitシリーズ共通のプロトタイピングボードのようです。
STM32 Prototyping Board

10/100 Ethernet ボタン LED

裏にMicro SD card slot


Prototyping Boardと接続


 
プロトタイピングボードと接続すると、長くなるので結構でかく感じます。どう使うかは、考え中。

TORX147 176.4k時の受信波形

 仕様上、192kHz受信(SPDIFの場合伝送速度24.576Mbit/s)ができるTORX142はすでに入手困難となり、仕様上最大15Mbit/sが上限のTORX147等しか入手することは、ほぼ不可能な状態となっています。
 送信側のTOTX142はアスカ情報システムに在庫があるのを見つけて取りあえず数個確保してあります。こちらもすでに取り扱いがなくなっています。
 
 誤記だと思われますがMOUSERのTOSLINK RECEIVER Brd mount 25Mbps とあり、もしかして25Mbit/s受けれるのかもと期待をこめつつ、BDP-S370からの176.4k 24bitをBDP-S370=>DN-HDMI4000AS=>MultiDAC(TORX147)で受信してみるとちゃんと受信することができたことは1/18に書いたとおりです。

 波形のほうを一応見てみました。下記がその測定波形で、TORX147のデータピン近くにプローブして測定したものです。(受信端はBGAであたるのはかなり難しく、線長は100mm程度なのでそうくずれては無いと思います。)

 何故かラインが2重になっていますが、まあ問題ない波形と思います。
 これは、TORX147の光受信=>電気変換の問題ではなく、DN-HDMI4000AS側のSPDIF信号生成回路の特性の問題じゃないかとにらんでいます。

 一時期、TORX1701でも使わないと駄目かなと思いましたが、とりあえずTORX147で確認してから決めることにしていましたが、その必要は無くなったと思います。
 TORX1701は比較的高価で、実は光受信仕様の規格が異なり、PECLインタフェースなので変換も必要と、決して良い選択肢ではないため、とりあえず良かったです。
 


TORX147 S/PDIF 176.4k(22.5792Mbit/s)受信波形

2011年1月21日金曜日

ADAT(S/MUX)のレート伝達手段はあるのか?

 RME RayDATのADATインタフェースのレート表示が、MultiDAC出力は、44.1kHzまたは48kHzに固定されてしまう問題があり、ちょっと調査してみました。S/PDIF(AES)の場合は、そもそも送信レートが変わりますが、ADAT(S/MUX)の場合は、常に転送レートは同じで、44.1k/48kの場合は、8ch、88.2k/96kの場合は4ch、176.2k/192kの場合は2ch分のデータを転送するようになっています。

  まず、以前も調べたことはあるのですが、再度ADAT(S/MUX)のレート設定を送信側から受信側へ伝達する手段があるのかどうか、Webをさがしてみました。やはり全く見つかりません。そもそもADATの資料自体が、ほとんど見つけることができない状態です。

 次に、手持ちのAudioIF tc electronic konnect24DもADATインタフェースを装備しているので、RME RayDATと接続してみました。またRayDATの送信をRayDATの受信に接続した場合、MultiDACの場合も見てみました。下表がその結果です。

 送信      送信側rate設定   RayDATの受信レート表示
-------------------------------------------------------------------------
konnect24D    44.1k        44.1k
konnect24D    88.2k        44.1k
konnect24D    48k         48k
konnect24D    96k         48k
MultiDAC     44.1k        44.1k
MultiDAC     88.2k        44.1k
MultiDAC     176.4k        44.1k
MultiDAC     48k          48k
MultiDAC     96k          48k
MultiDAC     192k         48k
RayDAT       44.1k         44.1k
RayDAT       88.2k         88.2k
RayDAT       176.4k         88.2k
RayDAT       48k            48k
RayDAT       96k            96k
RayDAT       192k          96k

 結局konnekt24DもMultiDACと同様で、RayDAT側はレート表示は、44.1k系は44.1k、48k系は48kと表示されてしまいます。
 RayDAT自身は、96kHzまでは、きちんと表示しますが、174.2kと196kについては、それぞれ88.2k,96kと表示され正しく表示されません。何らかの転送レート表示は持っている模様ですが、完全には対応できていないといったところなのでしょうか???

 RayDATは、クロックモードがスレーブのとき、送信側が回線レート表示を伝達してレートを決定する仕組みがあるのではとおもいましたが、どうもそういうわけでもなさそうです。

2011年1月20日木曜日

Accuphase デジタルチャンネルデバイダー「DF-55」

  Accuphase デジタルチャンネルデバイダーが「DF-45」より「DF-55」にフルモデルチェンジとのニュースが。ESSのES9008を、チャネルあたり1個でTotal 4個搭載。CAPRICEと同じくDual Mono構成にもできるようです。
 ESS9008採用でMultiDACと仕様が、かなり似通ってきました。ES9018な点だけとクロックソースがDAC側にできる点だけは少なくとも勝っていますが....

2011年1月19日水曜日

XMOS USB Audio 2.0 Reference Design

Music TO GO!での紹介を見て、これはいけそうだということで速攻 XMOS USB Audio 2.0 Reference DesignをDigi-keyへ発注かけました。在庫はないようで、発送予定日は1/23になっています。

 XMOSというのは、一種のマルチコアCPUで、ハードウェアの並列性をマルチコア、マルチスレッドで擬似し、CやC++でより容易かつ迅速に機能を実現してしまうことを狙ったもののようです。


USB Audio 2.0 Reference Design と XTAG2 debug adapter


 USB Audio 2.0 Reference Designは少なくとも192k 24bitまではサポートし、PCとUSBでアシンクロナスで接続でき、USB Audio Class 2.0対応で、ASIO2.1ドライバも用意されているようです。うまく改造できれば、384kやDSDというのも可能かもしれません。使いこなせるかどうかは、まだ何ともいえません。

 SPDIFやADATの規格では、192kHz 24bitで頭打ちで、それ以上は、USBやIEEE1394などを使うしかないようなので、MultiDACの次のステップとして384k再生を考えた場合、USBは避けて通れそうも無い状況のように感じられ、その実現解となる可能性がありそう。

 期待としては、384kまでの再生。できれば複数チャネル。
 MultiDACとは光で送受信接続し、MutiDAC側からクロック送り込んで、I2Sデータを独自フォーマットででも光でMultiDACへ伝送する形態を想定しています。

2011年1月18日火曜日

SDRAMメモリーバッファーモード2

 BDP-S370=>DN-HDMI4000ASルートで176.4kHz 24bit再生について確認してみました。
 SDRAMバッファモードを使用した下図の構成での再生になります。

 結果うまく再生できているようです。

 まず、どうしようかと困っていたTOSの176k受信についてですが、TORX-147は、仕様上96kHzまでしかサポートできないことになっていますが、176.4kHzで問題なく受信しているようです。
 ということで、SPDIF 192kHzまでの伝送にTORX1701を持ち出す必要はなくなりそうです。


BDP-S370=>DN-HDMI4000AS=>MultiDAC(SDRAMbuffer_mode)=>PC=>MultiDAC=>BuffaloII


 途中、DN-HDMI4000ASからPCのRayDATへ接続しているSPDIFのラインを抜いたのですが(上図の一番上のライン)、その後、ノイズのみで全く再生できなくなり、何が何だかわからなくなりました。

 その後いろいろやっているうちにSPDIFのラインを元のように接続すると何事も無く再生できるようになりました。

 DN-HDMI4000ASにとって出力であるSPDIFが何故影響するのかさっぱりでしたが、受信端側であるRayDAT側ではなく、送信端であるDN-HDMI4000AS側のコネクタを抜いた場合は何の問題も無く再生できることがわかりました。

 要は、SPDIFのケーブルがアンテナになり、TOSにも多大に影響をあたえ、全く同期がとれないほどのノイズを生み出していたようです。
 未使用ケーブルは抜いておくことが肝要のようです。
 
RayDATのSetting画面

 残りの問題点として、RME RayDATが176.4kHzモードにしているのにもかかわらず、44.1kHzモードで立ち上がってしまうことです。

 どうも96kHzのときは問題なかったのですが、176.4kHzにした場合、ADAT受信ポートをClockMasterにしておくと、44.1kHzに、RayDAT自身をMasterにするときちんと176.4kHzになるようです。
 
 原因は上図のInput Status/Pref.Sync Ref のADAT1の表示が44.1Hzになっていますが、ADATのレートを何らかの方法で通知しているようで、それができていないためと推測されます。
 たしか、RayDATの送信をRayDATの受信に接続した場合は、この表示が設定レート通り表示されていました。

 このレート伝達手段を調査あるいは解析して実装してあげないと、毎度RayDAT自身をMasterにする操作が必要になるようですので、早めに解決したいところです。

 

2011年1月17日月曜日

I2S-LVDS-HDMIの組込みとTOS送受信モジュール追加2

 FPGAのIOポートを調整して、FPGA⇔IOボード⇔BuffaloIIをつなぎなおし、とりあえず96kHz 2chチャネルデバイダで動作することを確認しました。

 192kHz、88.4kHz,176.8kHzでの確認などは、近日中に、続いてSACDプレイヤー => FPGA => BuffaloII でDSD再生ができるようにしたいと思います。

 I2S-LVDS-HDMIはCaprice,-I2S待ちです。ちなみにまた、納期延期の連絡がきており2月上旬にずれ込みました。


 

2011年1月16日日曜日

QNAP NMP-1000P 2

 前に取り上げたQNAP NMP-1000Pを購入することにしました。
 内蔵DACはWM8524で、192kHz 24bit変換をサポートしているのは書かれていますが、SPDIF出力については、調べても仕様がわかりません。問い合わせは購入者のみとなっています。

 QNAPのNAS機能にも興味があることもあり、まずは大丈夫であろうと期待して発注かけました。




 MultiDACシステムにおいては、メディアプレーヤー側に求める仕様は、下記になります。
  •  ビットパーフェクト
  •  192kまで対応
  •  24bit対応
  •  TOS出力
  •  waveとFLAC再生対応
  •  操作性
 できれば、TOS入力かクロック入力が装備されていて同期してくれればベターですが、少なくとも低価格機では望み薄でしょう。

 TOSによりメディアプレイヤー側の電源の影響は排除、クロックはMultiDAC側のクロックに載せかえるので、とりたててLINN DSのような高級機である必要性はないというスタンスです。
 もちろん購入資金に余裕があれば、多少ほしい気はしますが...



 
 
 
 

I2S-LVDS-HDMIの組込みとTOS送受信モジュール追加

当面の予定 の構成図に合わせて、下記のIO、CXOを載せた基盤を作りました。まだCXOのパスコン追加などもう少し調整が必要です。

  1. I2S-LVDS-HDMIの組込
  2. TOS Rx(TORX-147) 3port化(図では2portになっているが3portに変更)
  3. TOS Tx(TOTX-142) 2port化
  4. CXOを24.576000MHz に加え 22.579200MHz(KC7050B)追加


IO基盤




DE0に実装


 実装位置の考慮が足らず、CXO選択のピンヘッダの実装位置がアクリル板に干渉してしまいました。動作が確認できたらピンヘッダは撤去してしまおうと思います。
  
 
TOS Rx基盤

TOSのモジュールは、前に仕込んでおいたmy any styleさんの配布基盤を利用しています。(現在は欠品中ようのう)受信jモジュール用ですが、送信モジュールは基盤の裏返して利用しています。VCCとGNDが逆になりますが、問題ありません。


22.579200MHz(KC7050B) 左の小さいのはNZ2520SD (日本電波工業)

24.576000MHzは比較的在庫があるところが多いですが、22.579200MHzは、取り扱っているshopも少なくCXOの品種も限られ、在庫のあるものはRSのKC7050Bしか見つけられませんでした。
NZ2520SDも入手済みですが、この小ささなので失敗しそうで、再発注すると納期がかかるので、躊躇しています。パターンを起こしてからと考えていましたが、前倒しも検討。

明日FPGAのIOを修正して確認します。

2011年1月14日金曜日

BeMicro SDK購入再挑戦 2

  取りあえず請求書が送られてきました。今度は、大丈夫そうです。
 前回は、ここで  END USE Statement  BIS711 and include の2つの書類の書式が添付されてきて、サインと必要事項の記入を求められましたが、そのフェーズはありませんでした。
 きっと、同時に発注したLPLRというボードのほうが、なにか輸出規制に該当したのでしょう。
 これで安心してよいのかな??
 

HA10miniキープ

 HA10-mini PCB(Rev.1.1.)(by STRVさん)が、TwitterでBispaの在庫が登録初日に早々に残り17枚というのを見て、取りあえず2枚押さえておきました。その後すぐに売り切れたみたいなので、ぎりぎりセーフだったかも?

 HA10-mini PCB(Rev.1.1.)

 作るとしても当分手が付けられないと思いますが、HPAの類は全く持っていないので、先人の方々のよさそうな所を真似して作ってみたいと思います。
 しかし、パターンがかなり近接しているようなので、うまく作れるのかかなり不安ですが...

2011年1月12日水曜日

BeMicro SDK購入再挑戦

一度断られたArrow International の BeMicro SDKですが、購入された方もおられるようなので、ダメもとで、もう一度申し込んでみました。
 前回は、LPRPというボードと一緒で、そちらがNGの主要因だった可能性も高く、一応期待できます。

    
 BeMicro

主な仕様は、下記
  • Altera Nios II processor
  • microSD card and Ethernet interfaces
  • Temperature sensor
  • USB powered
  • Onboard Micron Mobile DDR memory
  • Eclipse-based integrated design environment (IDE)
  • Fully supported with examples and templates  
  • Suitable for virtually all embedded applications
  • Commercial grade network stack
  • RTOS ready - Micrium uC/OS-II included
 用途は、EM-DAC4399D/4399Q内実装のFPGAか、ポータブルシステムのトランスポート機能を想定しています。

2011年1月10日月曜日

SDRAMバッファモード一応動いた

 目標1日遅れで、一応SDRAMバッファモードで音を鳴らせました。
 バッファ中心値(初期蓄積量)固定で、96kしか確認していませんので、まだ機能追加と調整は必要です。
 
 これまでも度々ですが、シミュレーションをすっ飛ばして、SignalTapIIでデバッグしています。
 修正後、再コンパイルで時間がかかりますが、比較的小さいので許容範囲かと。
 実行時間はリアルタイムなので、ある面ではシミュレーションより速いともいえます。 

 すぐにバッファ中心値設定機能と、バッファ残量表示を表示機能がないので当面SignalTapIIで確認できるようにしたいと思います。
 
 次は、SACDからのDSD信号をBuffaloIIで鳴らせるようにすることに取り掛かろうかと思案中。

2011年1月9日日曜日

I2S-LVDS-HDMI到着

 I2S-LVDS-HDMI来ました。
在庫は無いけど、部品はあるので数日で送るとのメッセージどおりやってきました。多分中川さんの手組みですかね?
Webの写真にはない、シルクと取り付け穴があいています。
Caprice着たら即、I2Sでも接続できるように準備したいと思います。
I2SとDSDの切替も、caprice-I2Sを改造すれば可能とのことなので、追々実施してみたいと思います。

ちなみに今月号(2月)の無線と実験に、I2S-LVDS-HDMIとHDMI-LVDS-ISOLATOR-I2Sの記事が載っています。


I2S-LVDS-HDMI



I2S-LVDS-HDMI裏

2011年1月6日木曜日

当面の予定(追補)

 年末に当面の予定を立てましたが、正月中に少し見直し青字の項目を追加します。
 これができればとりあえず、目標としていることのかなりの部分ができる事になります。
 下記は、その当面の目標の構成図です。

 
当面の目標構成

 ・SDRAMバッファモードの組み込み
    現在、回路作成、コーディング中 1/9あたりには動かしたい。

 ・TOS 192kで接続
    SCD-XE600は192kでしか出力してくれないので早めになんとかしたいので

 ・DSD受信回路/送信回路
    受信回路自体はできたので、SDRAMバッファモードでBuffaloIIにつなぎこんで鳴らしてみたい。
    エレアトさんのブログを見て"ベートーヴェン/交響曲第6番ヘ長調
    作品69 「田園」・「エグモント」序曲作品84 [SACD Hybrid + DVD-ROM]"も発注したので、
    5.6448MHzもできれば。

 ・I2S-LVDS-HDMI入手
    IO基盤の作成はまだまだかかりそうなので、Fidelix I2S-LVDS-HDMIをCaprice-I2Sとの接続用に入手。発注済み。数日で来る予定。
 TOS送信および受信モジュールを各1から各2へ
    192k対応できるように、また他のDACへの接続ができるように、TOSモジュールを各2個へ

 Buffalo II 1台へFidelix Pure Rhythm 96M搭載
   192k対応できるように、また他のDACへの接続ができるように、クロック分配はさておき、
   入手済み1台をPureRhythm化しておく。384k再生は、SDカードから再生する手段待ち。

 USB Dal Audio組み込み
   入手後手付かずのまま放置状態なので、DSD受信可能めどついたら組み込む

 PowerIV組み込み
   組み立て後放置状態なので、デバッグして組み込む


 以下の2点は上記が目処ついたらとします。
 ・mbed I2C制御とPC通信手段   
    BuffaloIIとFPGAの制御の制御ができるように

 ・FPGAのI2C受信回路
    そろそろスイッチだけでは、制御しきれなくなってきたので

  とりあえず。なるべく早めにここまで実現したいと思います。

  MR-1。来ました。が、再生するものがない。ヘッドフォンもあまり良いものがない!...